From cc9124cd1145ecd0b0c5b2baeb0a3abb7d706a29 Mon Sep 17 00:00:00 2001 From: Felipe Erias Date: Wed, 17 Nov 2021 13:04:50 +0900 Subject: Integrate HVAC page --- images/HMI_HVAC_Rear_Inactive.svg | 91 +++++++++++++++++++++++++++++++++++++++ 1 file changed, 91 insertions(+) create mode 100644 images/HMI_HVAC_Rear_Inactive.svg (limited to 'images/HMI_HVAC_Rear_Inactive.svg') diff --git a/images/HMI_HVAC_Rear_Inactive.svg b/images/HMI_HVAC_Rear_Inactive.svg new file mode 100644 index 0000000..574c541 --- /dev/null +++ b/images/HMI_HVAC_Rear_Inactive.svg @@ -0,0 +1,91 @@ + + + +image/svg+xmlREAR + \ No newline at end of file -- cgit 1.2.3-korg